#宝宝的照片日记# 第二弹来咯!
P1→吃完海苔和粥之后,忘记擦嘴巴,整个一小花猫,但丝毫不影响他的帅气哈!
P2→熟悉的睡姿又回来了!
P3→天气太热,出门玩都气鼓鼓的不开森[挖鼻]
P4→好好的扇子,被他搞破了,已经开始在家搞破坏了!
P5→你还挺会凹造型!很好的展现了你的s曲线[笑cry]
P6→看书就看书,你那小眼神啥意思[doge][doge]
P7→晚上了,还撩开窗帘看啥子看!
P8→发现新玩法,玩具放头上,掉下来咚一声就很开心!
P9→太想吃妈妈的雪糕了,那就满足你,让你吃一根香蕉味的雪糕吧[太开心][太开心][太开心]

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity countdown is
port( clk50m,rst,key:in std_logic;

dig:out std_logic_vector(4 downto 1);

seg:out std_logic_vector(6 downto 0);
led:out std_logic

);
end countdown;
architecture ach of countdown is
component countN is
generic(

n:integer:=100
);
port(

clk,rst,en:in std_logic;

qdata:out integer ;

cout:out std_logic


);
end component;
component freqN is
generic (
n:integer:=100
);
port(
clk,rst:in std_logic;
clkout:out std_logic
);
end component;
component mypll IS
PORT
(
areset : IN STD_LOGIC := '0';
inclk0 : IN STD_LOGIC := '0';
c0 : OUT std_logic;
locked : OUT STD_LOGIC
);
END component;
component cd is
port(clk,rst:in std_logic;

qh,ql:buffer integer range 0 to 9

);
end component;
component ledShow is

port(

q:in integer;

seg:out std_logic_vector(6 downto 0)
);
end component;
signal clk1m,clk1k,clk1s,locked:std_logic;
signal qh,ql:integer;
signal qcnt,qshow:integer;
begin
u0:mypll port map(not rst,clk50m,clk1m,locked);
u1:freqn generic map(1000000)

port map(clk1m,locked,clk1s);
u2:freqn generic map(1000)

port map(clk1m,locked,clk1k);
u3:cd port map(clk1s,key,qh,ql);
u4:countn generic map(4)

port map(clk1k,locked,'1',qcnt);
qshow<=qh when qcnt=1 else

ql when qcnt=0 else

10;
dig<="1110"when qcnt=0 else

"1101" when qcnt=1 else

"1111";
u5:ledshow port map(qshow,seg);

led<='0' when qh=0 and ql=0 else

'1';

end ach;
library ieee;
use ieee.std_logic_1164.all;
entity cd is
port(clk,rst:in std_logic;

qh,ql:buffer integer range 0 to 9

);
end cd;
architecture ach of cd is
begin
process(clk,rst)

begin

if rst='0' then
qh<=6;
ql<=0;
elsif rising_edge(clk) then

if ql=0 then

ql<=9;

if qh=0 then

qh<=0;

ql<=0;

else

qh<=qh-1;

end if;

else

ql<=ql-1;

end if;
end if;
end process;

end ach;
--input number 0~9, and output abcdefg for digital tube
library ieee;
use ieee.std_logic_1164.all;

entity ledShow is

port(

q:in integer;

seg:out std_logic_vector(6 downto 0)
);
end ledShow;

architecture ach of ledShow is
begin
with q select

seg<="1000000" when 0 ,

"1111001" when 1 ,

"0100100"when 2 ,

"0110000"when 3 ,

"0011001"when 4 ,

"0010010"when 5 ,

"0000010"when 6 ,

"1111000"when 7 ,

"0000000"when 8 ,

"0010000" when 9 ,

"1111111" when others;

end ach;
--任意整数分频

library ieee;
use ieee.std_logic_1164.all;
--实体
entity freqN is
generic (
n:integer:=100
);
port(
clk,rst:in std_logic;
clkout:out std_logic
);
end freqN;
--结构体
architecture ach of freqN is

--任意进制计数器元件例化声明
component countN
generic(

n:integer:=100
);
port(

clk,rst,en:in std_logic;

cout:out std_logic;

qdata:out integer
);
end component;

signal qdata:integer:=0;
signal cout:std_logic;
begin

--n进制计数器例化

u0:countN generic map(n)

port map(clk,rst,'1',open,qdata);


--

clkout<='1' when qdata--

'0';

process(rst,clk)
begin

if rst='0' then

clkout<='0';

elsif rising_edge(clk) then

if qdata=0 then

clkout<='0';

else

clkout<='1';

end if;

end if;
end process;
end ach;
library ieee;
use ieee.std_logic_1164.all;
--实体任意进制计数器
entity countN is
generic(

n:integer:=100
);
port(

clk,rst,en:in std_logic;

qdata:out integer;

cout:out std_logic
);
end countN;
--结构体
architecture ach of countN is

--任意进制计数器元件例化声明
signal qtmp:integer:=0;

begin

process(rst,clk) --敏感信号
begin
if rst='0' then

qtmp<=0;

cout<='0';
elsif rising_edge(clk) then

if en='1' then

if qtmp=n-1 then

qtmp<=0;

else

qtmp<=qtmp+1;

end if;


if qtmp=n-2 then


cout<='1';

else

cout<='0';

end if;

end if;
end if;
-- if qtmp=n-1 then
--

cout<='1';
-- else
--

cout<='0';
-- end;
end process;
qdata<=qtmp;

end ach;

WBG助理教练fly执教队伍战绩:LPL、LCK春冠 S9世界赛SKT四强[666]

今日WBG官宣前RNG、SKT、iG教练fly正式加入队伍,让我们来回顾一下fly教练执教生涯,在比较知名的几支队伍担任助理教练的战绩。

[星星]S4 LCS的全华班LMQ(2014年LCS夏季赛常规赛第二,季后赛第三;2014年全球总决赛入围赛2-4出局)

[星星]S6 LPL赛区RNG(2016年LPL春季赛常规赛13-3,季后赛春冠;2016年MSI四强一比三不敌SKT,2016年LPL夏季赛常规赛13-3、季后赛第二;2016年全球总决赛1-3不敌SKT,止步八强)

[星星]S9 LCK赛区SKT(2019年春季赛常规赛第二,季后赛春冠;2019年MSI四强二比三不敌G2;2019年夏季赛常规赛第四,季后赛夏冠;2019全球总决赛SKT四强)

[星星]S10 LPL赛区iG(2020年春季赛常规赛第一,季后赛第四;2020年MSC 0-3出局;2020年夏季赛常规第三,季后赛第六;无缘2020全球总决赛)

#wbg官宣fly加入# #wbg官宣主教练# #wbg夏季赛阵容集结#


发布     👍 0 举报 写留言 🖊   
✋热门推荐
  • 新的一年,祝我们“仍然是在日光下笑骂吃喝,仍然是有光有热的打发每一个日子。其实全剧的剧情都有点莫名其妙和血(可能因为搞不懂立本人)但推荐月推都应该来看看,表现真
  • 2022-03-13 10:01近日,接群众举报反映,魏县住房城乡建设局在2021年承担的老旧小区改造项目工程过程中存在这样一种怪现象,先施工,后走标,或者好听
  • #阳光信用[超话]##每日一善# [心]#阳光信用# 善于发现别人的优点,并把它转化成自己的长处,你就会成为聪明的人。第二,不知道你指的计算机水平是什么,你可能
  • 青羊北路片区改造过程中把辖区划分为枣子巷、白果林、花牌坊、新二村四个片区,通过不间断的板块式集成,统一规划5个老旧小区、5条区间道路、3个小游园、1个菜市场、1
  • 啊啊啊这家重庆咖咖火锅终于上成都了❗️ 我是成都土著国际明一个专注吃|喝|玩|乐|地道的成都牙尖小仙女‍♀️猜猜看今天吃啥子喃-上次去重庆探寻咔咔角角老火锅儿
  • ”​男人说完但眼睛却早已湿润,而前妻也忍不住流下了眼泪,她平复后说:“我也有错,不应该要求你单方面做出让步,你是宝宝的爸爸,我相信你!”​男人说完但眼睛却早已湿
  • 其实舒畅对任何事都保持着顺其自然的态度,说到底还是和她的成长经历有关,毕竟她从小就经历了被抛弃和家人的去世,早早就看透了很多人生道理,她的目标,应该是过好眼下的
  • Meyers的Grothe經理說他每天接那些找戶外用餐設備的電話接到手軟,他說:「隨著寒冷季節所需的瓦斯與電力暖器需求暴增,這一品類正在擴展,目前缺貨六個月,其
  • 首先呢,感谢所有支持和关心我的人,我是个不完美的普通人,但是谢谢你们陪着我走过刚出道的迷茫,或者是逐渐成长,再或者是现在,虽然还有很多提升空间,但是也步入正
  • #热门楼盘推荐#【台山市台山骏景湾悦峰】价格:约7750元/㎡全部户型:三居室(96~103㎡)楼盘概况:台山骏景湾·悦峰是广东骏景湾地产集团又一新钜作,48万
  • #每日一善[超话]#[心]#阳光信用# [心]#每日一善# 大部分成功是靠的早已注定好的出身和天赋,而不是靠你厚积薄发的后天努力只要是你喜欢的东西,基本上有这
  • 已经看到第七套了,此书绝大部分试题能看明白,但要我辅导清北强基计划的学生我是忐忑不安,自信不足,是心虚不是谦虚。在温州中学当个科任老师还是可以应付。
  • 经常会有脑子一热就想干嘛的想法 上午想喝香飘飘中午休息就去买了 但在有些事情上执行力真的很差 每天自己做饭今天偷了一天懒点了个外卖 现在胃疼的睡不着 这家店被我
  • 康顿在跟进酒会流程时一般会根据用户提供的想法来展现一个理想的酒会现场,让参与的人都充满享受、沉浸其中。一般的酒会都会伴随着一些与主题内容交相辉映的布置,相较起一
  • | 西津渡 / : 一直说 如果要留在江南 我希望是在梧桐树下 粉墙黛瓦里 西津渡算是这样一个无趣的好地方 很喜欢的南书房暂停营业 看不懂的
  • #琴瑟声韵# 我不在乎多少梦幻已经成空我不在乎多少追求都成泡影在春天的季节里谁愿意是醉生梦死醉死梦生山峰挡不住我河流挡不住我噢,一往无前我是青春的风我不满足已经
  • !!
  • …越是知道他白天玩得那样快活,看到他晚上这样睡觉,我就越感到悲哀,除了喝醉酒,他大概一辈子都没有真正放松过吧,陪伴心爱的女人到西湖边上度蜜月,都会如此紧张,这是
  • 杨洋是我唯一喜欢放在心里的中国男演员,目前和我互关的各位应该是有共同的喜欢认同才让我们相识并结缘,有一天如果你厌倦了脱粉了爬墙了,麻烦咱们互相取关,不要让我在首
  • 我们老百姓冤啊,为什么当官的可以打人,老百姓喊冤是犯法,老百姓走正常法律没人理,这个事道还要不要我们老百姓活啊,冤啊!只要您们领导去桂芽村调查一下有没有交通事故